Skip to main content

Glossary of Common Wafer Related Terms

Active Si layer - silicon layer on top of the buried oxide (BOX) in SOI substrates.

Adhesion - ability of materials to stick (adhere) to each other.

Adhesion promoter - material used to improve adhesion of materials, typically photoresist to the substrate in a photolithographic processes. Some metals are also used to promote adhesion of subsequent layers.

Amorphous Si, a-Si - non-crystalline thin-film silicon having no long-range crystallographic order; inferior electrical characteristics as compared to single-crystal and poly Si but cheaper and easier to manufacture; used primarily to fabricate solar cells.

Angstrom - unit of length commonly used in semiconductor industry, though it is not recognised as a standard international unit; 1 Angstrom = 10-8 cm = 10-4 micrometer = 0.1 nm; The dimentions of a typical atoms

Bandgap, energy gap (Eg) - forbidden energy levels separating the valence and conduction bands. no electrons are allowed to have energies at these levels. learn more.

Bandgap engineering - processes in which the chemical composition of a semiconductor is altered in a controlled way to achieve a specific energy gap; learn more.

Batch process - process in which many wafers are processed simultaneously, as opposed to a single wafer process;

Boat - 1. a device made of high purity temperature resistant materials such as fused silica, quartz, poly Si, or SiC. designed to hold many semiconductor wafers during thermal or other processes; 2. device designed to simultaneously contain source material during evaporation while at the same time heating the source to its melting point; made of highly conductive, temperature resistant material through which current is passed.

Bonded SOI - SOI substrate formed by bonding two silicon wafers with oxidized surfaces such one wafer is formed with an oxide layer sandwiched between two layers of Si; one wafer is subsequently polished down to a specified thickness to form an active layer where devices will be fabricated.

Boron - element from group III of the periodic table; acts as an acceptor in silicon; Boron is the only p-type dopant used in silicon device manufacturing.

Bow - Concavity, curvature, or deformation of the wafer centerline independent of any thickness variation present.

BOX- buried oxide in SOI substrates.

Bridgman growth - A method of growing single-crystal semiconductors (typically III-V) using a multi-zone furnace in which the various elements are in contact with a seed; the melt is passed from higher to lower temperature zone, in a fassion similar to the float-zone (FZ) crystal growth method.

Chemical Mechanical Polishing, CMP - method of planarization, removing layers of solid by chemical-mechanical polishing.

Cleanroom - enclosed ultra-clean space necessary for semiconductor manufacturing. Airborne particles are removed from the space to specified minimum levels, room temperature and humidity are strictly controlled; clean rooms are rated and range from Class 1 to Class 10,000. The number corresponds to the number of particles per cubic foot.

Compound semiconductor - synthetic semiconductor formed using two or more elements mainly from groups II through VI of the periodic table; compound semiconductors do not appear in nature;

Conduction band - the upper energy band in a semiconductor separated from the valence band by the energy gap; The conduction band is not completely filled with electrons, hence, electrons are free to "conduct."

Cryogenic pump - an efficient, clean high-vacuum pump operating in the pressure range from about 10-3 torr to 10-10 torr; removes gas molecules from vacuum by trapping them on cold surfaces; learn more.

Crystal - solid featuring periodic spatial arrangement of atoms throughout the entire piece of material learn more.

Crystal defects - imperfections of the crystallographic structure of a crystal. 1. point defects 2. line defects 3. planar defects 4. volume defects.

Czochralski Crystal Growth, CZ - process utilizing crystal pulling to obtain single-crystal solids; the most common method for obtaining large diameter semiconductor wafers (e.g. 300 mm Si wafers); desired conductivity type and doping level is accomplished by adding dopants to molten material. Wafers used in high-end Si microelectronics are almost uniquely CZ grown.

Crystal pulling - process in which single-crystal seed is slowly withdrawn from the melt and material condenses at the liquid-solid interface gradually forming a rod-shaped piece of single-crystal material. Crystal pulling is the foundation of the Czochralski (CZ) single-crystal growth technique;

Degenerate semiconductor - semiconductor that is so heavily doped that its Fermi level is closer to one of the band edges (either conduction or valence) than 2 kT/q; properties of degenerate semiconductors must be described using the Fermi-Dirac statistics instead of Maxwell-Boltzmann statistics.

Denuded zone - very thin region on a semiconductor substrate surface cleared from contaminants and/or defects by gettering;

Dicing - process of cutting semiconductor wafer into individual chips each containing a complete semiconductor device. Large diameter wafer dicing is carried out by partially cutting the wafer along preferred crystallographic planes using high precision saw with ultra-thin diamond blade. learn more.

Die - a single piece of semiconductor containing entire integrated circuit which has not yet been packaged; A chip.

Diffusion pump - high vacuum pump operating in the ranges from 10-3 torr to 10-7 torr featuring relatively high pumping speed; Removes molecules from vacumm by trapping them with oil vapor. Removed from high-end applications because of the oil vapor backstreaming into the vacuum system and contaminating the chamber. Pressure 10-5 Torr or better is refered to as high vacuum (HV). learn more.

Direct bandgap semiconductor - semiconductor in which the bottom of the conduction band and the top of the valence band coincide with the same value of momentum; the wavelength of any emitted radiation is determined by the energy gap of the semiconductor; examples include GaAs and InP.

Dopant - element introduced intentionally into a semiconductor to establish either p-type or n-type conductivity; Common dopants in silicon are: Boron (p-type) and phosphorous, arsenic, and antimony (n-type).

Electron beam (e-beam) evaporation - source material is evaporated as a result of highly localized heating by bombardment with high energy electrons; the electron beam is spacially confined and accelerated by electrostatic interactions. The direction and crosssection of the beam can be precicely controlled and rapidly altered to scan the target; vaporated material is very pure; bombardment of metal with electrons is accompanied by generation of low intensity X-rays which may create defects in the oxide present on the surface of the substrate; typically, an anneal is needed to eliminate those defects. learn more.

Elemental semiconductor - single element semiconductor from group IV of the periodic table; Si, Ge, C, Sn.

Epi Layer - The term epitaxial comes from the Greek word meaning 'arranged upon.' In semiconductor technology, it refers to the single crystalline structure of the film. The structure comes about when silicon atoms are deposited on a bare silicon wafer in a CVD reactor. When the chemical reactants are controlled and the system parameters are set correctly, the depositing atoms arrive at the wafer surface with sufficient energy to move around on the surface and orient themselves to the crystal arrangement of the wafer atoms. Thus an epitaxial film deposited on a <111>-oriented wafer will take on a <111> orientation.

Epitaxial layer - layer grown in the course of epitaxy;

Epitaxy - process by which a thin "epitaxial" layer of single-crystal material is deposited on single-crystal substrate; epitaxial growth occurs in such way that the crystallographic structure of the substrate is reproduced in the growing material; also crystalline defects of the substrate are reproduced in the growing material. Although crystallographic structure of the substrate is reproduced, doping levels and the conductivity type of a epitaxial layer is controlled independently of the substrate; e.g. the epitaxial layer can be made more pure chemically than the substrate;

Evaporation - common method used to deposit thin film materials; material to be deposited is heated in vacuum (10-6 - 10-7 Torr range) until it melts and starts evaporating; this vapor condenses on a cooler substrate inside the evaporation chamber forming very smooth and uniform thin films; not suitable for high melting point materials; PVD method of thin film formation. learn more.

External, extrinsic gettering - process in which gettering of contaminants and defects in a semiconductor wafer is accomplished by stressing its back surface (by inducing damage or depositing material featuring different than semiconductor thermal expansion coefficient) and then thermaly treating the wafer; contaminants and/or defects are relocated toward back surface and away from the front surface where semiconductor devices can be formed.

Filament evaporation - thermal evaporation; source material is contacted to the filament (a refractory metal) and melted by high current flowing through the filament; alternativly, a "boat" which contains material to be evaporated may be made out of refractory metal; learn more.

Float-zone Crystal Growth, FZ - method used to form single crystal semiconductor substrates (alternative to CZ); polycrystalline material is converted into single-crystal by localy melting the plane where a single crystal seed is contacting the polycrystalline material; used to make very pure, high resistance Si wafers; does not allow as large wafers (< 200mm) as CZ does; radial distribution of dopant in FZ wafer is not as uniform as in CZ wafer.

Gettering - process which moves contaminants and/or defects in a semiconductor away from its top surface into its bulk and traps them there, creating a denuded zone.

HMDS - Hexamethyldisilizane; improves adhesion of photoresist to the surface of a wafer; especially designed for adhesion of photoresist to SiO2; deposited on wafer surface immediately prior to deposition of resist.

Ingot - circular piece of single-crystal semiconductor material resulting from a crystal growth process; an ingot is ready to be shaped and sliced into wafers used to manufacture semiconductor devices. learn more.

Intrinsic gettering - process in which gettering of contaminants and/or defects in a semiconductor is accomplished (without any physical interactions with the wafer) by a series of heat treatments.

LEC - Liquid Encapsulated Czochralski growth.

Line defect - dislocation.

Mean free path, MFP - average length traveled by a charge carrier or particle between two successive collisions; learn more.

Metallurgical Grade Silicon, MG-Si learn more.

Miller indices - combination of three integers (possibly four), used to define the orientation of crystallographic planes in a crystal; a set of coordinates defining specific crystallographic planes in the crystal. learn more.

Multi-Wire Sawing - learn more.

Nanometer, nm - unit of length commonly used in semiconductor industry; one billionth of a meter, 10-9 m [nm]; terms such as microchip and microtechnology are being replaced with nanochip and nanotechnology.

N-type semiconductor - semiconductor in which the concentration of electrons is much higher than the concentration of holes (p>>n); electrons are majority carriers and dominate conductivity.

Oxygen in silicon - oxygen finds its way into silicon during the Czochralski (CZ) single-crystal growth process; in moderate concentration (below 1017 cm3) oxygen improves mechanical properties of a silicon wafer; excess oxygen acts as a n-type dopant in silicon.

Physical Vapor Deposition, PVD - deposition of thin film occurs through physical transfer of material (e.g. thermal evaporation and sputtering) from the source to the substrate; the chemical composition of deposited material is not altered in the process. learn more.

Planar defect - also known as area defect; basically an array of dislocations, e.g. grain boundaries, stacking faults.

Polishing - process applied to either reduce roughness of the wafer surface or to remove excess material from the surface; typically polishing is a mechanical-chemical process using a chemically reactive slurry. learn more.

Polycrystalline material, poly - many (often) small single-crystal regions are randomly connected to form a solid; size of regions varies depending on the material and the method of its formation. heavily doped poly Si is commonly used as a gate contact insilicon MOS and CMOS devices;

Prime Grade - The highest grade of a silicon wafer. SEMI indicates the bulk, surface, and physical properties required to label silicon wafers as "Prime Wafers".

P-type semiconductor - semiconductor in which the concentration of holes is much higher than the concentration of electrons (n>>p); holes are majority carriers and dominate conductivity.

Quartz - single-crystal SiO2.

Reclaim Grade - A lower quality wafer that has been used in manufacturing and then reclaimed (etched or polished) and subsequently used a second time in manufacturing.

Refractive index, n - important optical characteristic of a material; defined as a ratio speed of light in free space over speed of light in the material.

Refractory metal - learn more.

Resistivity (Volume) - The resistance that a unit volume of a material offers to the passage of electricity, the electric current being perpendicular to two parallel faces. More generally, the volume resistivity is the ratio of the potential gradient parallel with the current in the material to the current density.

Rough, roughing pump - vacuum pump designed to reduce pressure from atmospheric to militorr range. learn more.

Roots pump - high efficiency roughing pump; used in oil vapor-free vacuum systems. learn more.

Roughness - lack of planarity of solid surface at the atomic level; a parameter that measures lack of planarity; in high quality Si wafers better than 0.1 nm.

Sapphire - single-crystal Al2O3; can be synthesized and processed into various shapes; highly resistant chemically; transparent to UV radiation.

Secondary Flat - The flat of shortest length appearing in the circumference of the wafer. The secondary flat has a specific crystallographic orientation relative to the wafer surface and the primary flat. learn more.

Seed crystal - single crystal material used in crystal growing to set a pattern for the growth of material in which this pattern is reproduced.

Semiconductor - solid-state material in which (unlike in metals and insulators) (1) large changes in electrical conductivity can be effected by adding very small amounts of impurity elements known as dopants, (2) electrical conductivity can be controlled by both negatively charged electrons and positively charged holes and (3) electrical conductivity is sensitive to temperature, illumination, and magnetic field; these properties result from the fact that the valence and conduction bands in semiconductors are separated by a energy gap that rarely exceeds about 3.5 eV. Semiconductors are found in the IVth group of the periodic table: diamond (C), silicon (Si), germanium (Ge) and tin (Sn); numerous compound semiconductors can be formed by combining elements from groups II-VI; also, some organic materials display semiconductor properties.

Semiconductors, III-V - III-V semiconductors are fabricated using elements from 3rd and 5th group of periodic table; e.g. GaAs, GaP, GaN, GaAlAs.

Silicon dioxide, SiO2 - silica; native oxide of silicon; the most common insulator in semiconductor device technology; high quality films are obtained by thermal oxidation of silicon; thermal SiO2 forms smooth, low-defect interface with Si; can be also readily deposited by CVD; Key parameters: energy gap Eg ~ 8eV; dielectric strength 5-15 x 106 V/cm; dielectric constant k = 3.9; density 2.3 g/cm3; refractive index n =1.46; melting point ~ 1700 oC; prone to contamination with alkali ions and sensitive to high energy radiation (i.e. X-rays); single crystal SiO2 is known as quartz.

Silicon Nitride, Si3N4 - dielectric material with energy gap = 5 eV and density ~3.0 g/cm3; excellent mask against oxidation of Si and KOH; properties depend on deposition method: dielectric strength ~107 V/cm, dielectric constant k ~6-7, bulk resistivity 1015-1017 ohm-cm; deposited by CDV.

SOI - Silicon-On-Insulator; silicon substrate of choice in future generation CMOS ICs; basically a silicon wafer with a thin layer of oxide (SiO2) buried in it; devices are built into a layer of silicon on top of the buried oxide and are thus electricaly isolated from the substrate; SOI substrates provide superior isolation between adjacent devices in an IC; SOI devices have reduced parasitic capacitances.

SOS - Silicon-On-Sapphire; special case of SOI where an active Si layer is formed on top of a saphire substrate (an insulator) by means of epitaxial deposition; due to a slight lattice mismatch between Si and sapphire, Si epitaxial layers larger than the critical thickness have a high defect density;

SIMOX - Separation by Implantation of Oxygen; oxygen ions are implanted into Si substrate and form a buried oxide layer. SIMOX is a common technique when building SOI wafers.

Single-crystal - crystalline solid in which atoms are arranged following specific pattern throughout the entire piece of material; in general, single crystal material features superior electronic and photonic properties as compared to polyscrystalline and amorphous materials, but is more difficult to fabricate; all high-end semiconductor electronic and photonic materials are fabricated using single-crystal substrates.

Single wafer process - only one wafer is processed at the time; tools that are designed specifically for single-wafer processing become more common as wafer diameter increases.

Slice orientation - the angle between the surface of a slice and the growth plane of the crystal. The most common slice orientations are (100), (111) and (110). learn more.

Slicing - term refers to the process of cutting of the single-crystal ingot into wafers; high precision diamond blades are used. learn more.

Slurry - a liquid containing suspended abrasive component; used for lapping, polishing and grinding of solid surfaces; can be chemically active; key element of CMP processes.

Smart cut - process used to fabricate bonded SOI substrates by cleaving the top wafer close to the desired thickness of the active layer; before bonding one wafer is implanted with hydrogen to a depth that will determine the thickness of an active layer in the future SOI wafer; following bonding, the wafer is annealed (at ~500 oC) at which time the wafer splits along the plane stressed with implanted hydrogen. The result is a very thin layer of Si forming a SOI substrate.

Sputtering, sputter deposition - bombardment of a solid (target) by high energy chemically inert ions (e.g. Ar+); causes ejection of atoms from the target which are then re-deposited on the surface of a substrate purposely located in the vicinity of the target; common method of Physical Vapor Deposition of metals and oxides. learn more.

Sputtering target - source material during sputter deposition processes; typically a disc inside the vacuum chamber which is exposed to bombarding ions, knocking source atoms loose and onto samples. learn more.

Sputter yield - efficiency of the sputtering process (differs for different materials). learn more.

Surface damage - process related disruption of the crystallographic order at the surface of single-crystal semiconductor substrates; typically caused by surface interactions with high energy ions during dry etching and ionimplantation.

Surface roughness - disruption of the planarity of the semiconductor surface; measured as a difference between highest and deepest surface features; can be as low as 0.06 nm or high quality Si wafers with epitaxial layers.

Target - source material used during evaporation or deposition; In sputtering, typically in the form of high purity disc. In e-Beam evaporation, typically in the form of a crucible. In thermal evaporation, the source material is typically held in a boat which is heated resistively. learn more.

Test Grade - A virgin silicon wafer of lower quality than Prime, and used primarily for testing processes. SEMI indicates the bulk, surface, and physical properties required to label silicon wafers as "Test Wafers".

Thermal oxidation, thermal oxide - growth of oxide on the substrate through oxidation of the surface at elevated temperature; thermal oxidation of silicon results in a very high quality oxide, SiO2; most other semiconductors do not form device quality thermal oxide, hence, "thermal oxidation" is almost synonymous with "thermal oxidation of silicon". learn more.

Total Thickness Variation - The maximum variation in the wafer thickness. Total Thickness Variation is generally determined by measuring the wafer in 5 locations of a cross pattern (not too close to the wafer edge) and calculating the maximum measured difference in thickness.

Valence band - the lower energy band in a semiconductor that is completely filled with electrons at 0 K; electrons cannot conduct in valence band.

Volume defect - voids and/or local regions featuring different phase (e.g. precipitates or amorphous phase) in crystalline materials.

Wafer - thin (thickness depends on wafer diameter, but is typically less than 1 mm), circular slice of single-crystal semiconductor material cut from the ingot of single crystal semiconductor; used in manufacturing of semiconductor devices and integrated circuits; wafer diameter may range from 25 mm to 300 mm;

Wafer bonding - process in which two semiconductor wafers are bonded to form a single substrate; commonly applied to form SOI substrates; bonding of wafers of different materials, e.g. GaAs on Si, or SiC on Si; is more dificult than bonding of similar materials. learn more.

Wafer diameter - The linear distance across the surface of a circular slice which contains the slice center and excludes any flats or other peripheral fiduciary areas. Standard silicon wafer diameters are: 25.4mm (1"), 50.4mm (2"), 76.2mm (3"), 100mm, 125mm, 150mm, 200mm, 300mm.

Wafer fabrication - process in which single crystal semiconductor ingot is fabricated and transformed by cutting, grinding, polishing, and cleaning into a circular wafer with desired diameter and physical properties.

Wafer flat - flat area on the perimeter of the wafer; location and number of wafer flats contains information on crystal orientation of the wafer and the dopant type (n-type or p-type). learn more.

Warp - Deviation from a plane of a slice or wafer centerline containing both concave and convex regions.

Zinc blend lattice - crystal structure which belongs to the cubic-crystal family; most ot the III-V compound semiconductors have a zincblend lattice. learn more.